<var id="fnfpo"><source id="fnfpo"></source></var>
<rp id="fnfpo"></rp>

<em id="fnfpo"><object id="fnfpo"><input id="fnfpo"></input></object></em>
<em id="fnfpo"><acronym id="fnfpo"></acronym></em>
  • <th id="fnfpo"><track id="fnfpo"></track></th>
  • <progress id="fnfpo"><track id="fnfpo"></track></progress>
  • <tbody id="fnfpo"><pre id="fnfpo"></pre></tbody>

  • x
    x

    FPGA/CPLD問答列表

    FPGA開發疑問

    新手問個問題: 偶現在是學生,感覺直接用VHDL和Veriog寫代碼好麻煩,如果要實現一些功能復雜的項目,感覺要費很大時間。剛看了System Generator,可以直接搭模塊然后轉換硬件語言,貌似 ...
    2011年11月11日 09:29   |  
    FPGA   System Generator   產品設計  

    求助:交通燈程序,不知道錯在哪里

    module traffic(clk,led); input clk; output led; reg [31:0]cnt; reg clock; reg [2:0]led=3'b000; reg flag=0; reg dengf=0; reg count; //////////////分頻至1HZ///////////// ...
    2011年11月05日 19:30

    哪里有好的有關VHDL語言編程的視頻教程

    哪里有好的有關VHDL語言編程的視頻教程
    2011年10月31日 17:17

    FPGA USB

    請教給位大蝦,usb下載固件出現這種情況是怎么回事? 我用的是cy7C68013a芯片,usb2.0 GetStringDescripter:Index=1 Get String Descriptor Failed GetStringDescripter:Index=2 Get St ...
    2011年10月18日 10:00

    在Quartus II中編寫的程序代碼為什么在modelsim中不能正常仿真出波形

    在Quartus II中編寫的程序代碼為什么在modelsim中不能正常仿真出波形?
    2011年10月13日 20:08   |  
    modelsim   Quartus  

    如何簡潔的進行VHDL測試代碼的編寫

    如何簡潔的進行VHDL測試代碼的編寫?
    2011年10月13日 20:05   |  
    VHDL   測試代碼  

    程序錯誤,求助

    六十進制計數器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter_60 is port(clk,bcd1wr,bcd10wr,cin:in std_logic; ...
    2011年10月12日 15:52

    一個管腳約束的問題

    各位大俠好,我用一款比較老的CPLD(lattice isp1032)做一組時序邏輯,在功能仿真時都通過了一點問題沒有,但是在加了管腳約束進行時序仿真時發現時序根本不對,請問從哪里入手查找問題原因呢 ...
    2011年10月05日 20:44   |  
    CPLD   仿真  

    FPGA學習的困惑

    學了幾天的verilog語言,語法倒是好像懂了,就是不知道這個到底能夠干什么?希望有經驗的高手給我一下指導,迷茫中……
    2011年09月18日 17:51   |  
    FPGA  

    怎么樣才算掌握了fpga?

    怎么樣才算掌握了fpga? 如何才能學得更好?
    2011年09月02日 13:29   |  
    FPGA  

    如何快速學會FPGA

    請教各位如何快速學會FPGA?
    2011年08月20日 23:11   |  
    FPGA  

    想低價轉手一本書

    2011年08月15日 21:56

    廠商推薦

    • Microchip視頻專區
    • 利用模擬開發工具生態系統進行安全電路設計
    • 深度體驗Microchip自動輔助駕駛應用方案——2025巡展開啟報名!
    • 我們是Microchip
    • Cortex-M4外設 —— TC&TCC結合事件系統&DMA優化任務培訓教程
    • 貿澤電子(Mouser)專區
    關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
    電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
    返回頂部
    精品一区二区三区自拍图片区_国产成人亚洲精品_亚洲Va欧美va国产综合888_久久亚洲国产精品五月天婷